Kamis, 19 Mei 2011

CHEAT NINJA SAGA

[Cheat Ninja Saga] Cheat HP (DARAH) & CP (Chakra)Masih Work 100%

 Cheat Chakra (CP)
1. Buka Ninja Saga (jangan di play dulu).
2. Buka Cheat engine 6.0 dan process ke plugin-container.exe
3. Setting value typenya ke array of byte
4. Lalu First Scan 28 00 00 00 88 13 00 00 28 00 00 00 03 00 00 00
5. Double-click Valuenya.
6. Ganti value menjadi FF 22 00 00 88 13 00 00 28 00 00 00 03 00 00 00
7. pilih char anda trus “PLAY”.
8. Dan lihat yang terjadi dengan CP Char Ninja Saga anda
Jika Adresnya Tidak keluar-keluar,Pake C.E Jenis Lainnya seperti C.E 5.6.1


Cheat Darah (HP)
1. Buka Ninja Saga (jangan di play dulu).
2. Buka Cheat engine 6.0 dan process ke plugin-container.exe
3. Setting value typenya ke array of byte
4. Lalu First Scan 28 00 00 00 0C 03 00 00 26 02 00 00 03 00 00 00
5. Double-click Valuenya.
6. Ganti value menjadi FF 22 0C 00 00 03 00 00 26 02 00 00 03 00 00 00
7. pilih char anda trus “PLAY”.
8. Dan lihat yang terjadi dengan HP Char Ninja Saga anda

Rabu, 18 Mei 2011

Harga" flash disk

Flas disk 2GB Kingstone = Rp 60.000
Flas disk 4GB Kingstone = Rp 75.000
Flas disk 8GB Kingstone = Rp 118.000

Barang dijamin baru..
 Bisa hubungi No :
95974432
085810547189

Senin, 28 Februari 2011

MESIN MODEL KOMPUTER

( Tugas 2 Pemograman Sistem )
A.fiqa Dzulfiqar
22108346


Komputer yang sekarang ini kita kenal adalah suatu alat yang mempermudah pemakai untuk mengolah data dan mendapatkan hasil seperti apa yang di inginkan.
Adapun cara kerja komputer dapat kita gambarkan sebagai berikut : 
*Input device adalah perangkat-perangkat keras komputer yang berfungsi memasukan data kedalam memori-memori komputer. Contoh : keyboard, mouse, joystick dan lain-lain
 
 
* Prosesor  adalah perangkat utama komputer yang mengelola seluruh aktifitas
komputer itu sendiri. Prosesor terdiri dari dua bagian utama, yaitu ;

1. Control Unit (CU), merupakan komponen utama prosesor yang mengontrol semua
perangkat yang terpasang pada komputer, mulai dari input device sampai output
device.

2. Arithmetic Logic Unit (ALU), merupakan bagian dari prosesor yang khusus
mengolah data aritmatika (menambah, mengurang dll) serta data logika
(perbandingan). 


 
* Memori adalah media penyimpan data pada komputer. Memori ini terbagi atas dua
macam, yaitu : 

  • Read Only Memory (ROM), yaitu memori yang hanya bisa dibaca saja, tidak dapat
    dirubah dan dihapus. ROM diperlukan pada saat komputer dihidupkan. Perintah yang ada pada ROM sebagian akan dipindahkan ke RAM. Perintah yang ada di ROM antara lain adalah perintah untuk membaca sistem operasi dari disk, perintah untuk mencek semua peralatan yang ada di unit sistem dan perintah untuk menampilkan pesan di layar. Isi ROM tidak akan hilang meskipun tidak ada aliran listrik.
  •   Random Access Memori (RAM), adalah memori yang dapat diakses secara random. RAM berfungsi untuk menyimpan program yang kita olah untuk sementara waktu (power on) jika komputer kita matikan, maka seluruh data yang tersimpan dalam RAM akan hilang. Tujuan dari RAM ini adalah mempercepat pemroses data pada komputer.
    Agar data yang kita buat tidak dapat hilang pada saat komputer dimatikan, maka diperlukan media penyimpanan eksternal, seperti Disket, Harddisk, PCMCIA card dan lain-lain. 

    * Output Device, adalah perangkat komputer yang berguna untuk menghasilkan
    keluaran, apakah itu ke kertas (hardcopy), ke layar monitor (softcopy) atau keluaran
    berupa suara. Contohnya printer, speaker, plotter, monitor dan banyak yang lainnya.
    Dari penjelasan diatas dapat kita simpulkan bahwa prinsip kerja komputer tersebut diawali memasukan data dari perangkat input, lalu data tersebut diolah sedemikian rupa olehCPU sesuai yang kita inginkan dan data yang telah diolah tadi disimpan dalam
    memori komputer atau disk. Data yang disimpan dapat kita lihat hasilnya melalui
    perangkat keluaran. 

Senin, 25 Oktober 2010

VHDL

Tulisan  " Pemrograman Devais FPGA "


A.FIQA DZULFIQAR
22108346
VHDL (Very highspeed integrated circuit Hardware Description Language)
SEJARAH VHDL :
VHDL adalah singkatan yang dipersingkat karena aslinya adalah VHSIC HDL. Program VHIC (Very Highspeed Integrated Circuit) dikembangkan akhir tahun 1970-an sampai awal 1980-an, berdasarkan sumber dari Universitas Waterloo :
  • Rupanya pada waktu itu program VHSIC dikembangkan oleh Departemen Pertahanan Amerika
  • Alat yang sudah ada (pada waktu itu tahun 1980, yaitu Ada programming language) sudah tidak sanggup untuk membuat desain hardware yang lebih kompleks sehingga akhirnya dibuatlah penggantinya dengan nama VHDL.
Inilah evolusi berdasarkan sumber dari Universitas Waterloo :
  • 1981, VHDL diusulkan sebagai bahasa deskripsi hardware
  • 1986, VHDL diusulkan sebagai standar IEEE
  • 1987, Standar pertama VHDL (IEEE-1076-1987)
  • 1993, Standar VHDL direvisi (IEEE-1076-1993)
  • 2002, Standar VHDL sekarang (IEEE-1076-2002), dan
  • Sekarang digunakan dengan luas oleh kalangan industri dan akademi, dengan penambahan IEEE-1164-1993 untuk mengenalkan nilai sistem logika
IEEE adalah singkatan dari Institut of Electrical and Electronics Engeneers, Institut inilah yang melakukan standarisasi VHDL.
 Salah satu contoh program vhdl dari sebuah D flip-flop edge triggered positif dengan asynchronous Reset adalah sebagai berikut:

library ieee;
use ieee.std_logic_1164.all;
entity DFF_RST is
     port (CLK, RESET, D : in std_logic;
           Q : out std_logic);
end DFF_RST;


architecture BEHAV_DFF of DFF_RST is
begin
DFF_PROCESS: process (CLK, RESET)
     begin
           if (RESET = ‘1’) then
                Q <= ‘0’;
           elsif (CLK’event and CLK = ‘1’) then
                Q <= D;
           end if;
     end process;
end BEHAV_DFF;

LIBRARY


Tulisan  " Pemrograman Devais FPGA "

A.Fiqa Dzulfiqar
22108346 


                Dalam bahasa pemrograman vhdl dikenal beberapa paket library yang berfungsi untuk memudahkan prorammer untuk menyelesaikan pekerjaannya karena dalam library tersebut terdapat fungsi-fungsi dan tipe data yang sudah didefinisikan sebelumnya yang dapat digunakan berulang-ulang. Dalam vhdl terdiri beberapa library, diantaranya ieee, std, work dan lain-lain. Di dalam library tersebut terdapat sub-tree yang disebut sebagai paket, seperti :

LIBRARY IEEE :
- math_real
- numeric_bit
- numeric_std
- std_logic_1164
- std_logic_arith
- std_logic_signed
- std_logic_unsigned
- vital_timing

LIBRARY STD :
- standard
- textio

LIBRARY WORK :
semua source code user akan dicompile dan dimasukkan ke dalam library ini

Multiplexer

tulisan " Pemrograman Devais FPGA "
A.FIQA DZULFIQAR
22108346
multiplexer merupakan suatu rangkaian yang memiliki banyak input namun hanya memiliki satu output. adapaun rangkaian dari multiplexer itu sendiri :

pada rangkaian di atas terdapat 4 input, 2 selektor, dan 1 output. dalam tulisan ini rangkaian Multiplexer di atas akan diimplementasikan ke dalam kode program VHDL.

1. pertama-tama kita beri nama entity dari multiplexer yaitu " multipax "

entity multipax is -- mendefinisikan entity "multiplexer"
port(
a,b,c,d,s1,s2: in bit; -- terdapat 4 port input, 2 selector, dan 1 output
y:out bit);
end kepletex;

2. lalu definisikan architecture " max_multy " dari entity "multipax"

architecture max_multy of multipax is
begin
proc: process is
begin
if (s1='0' and s2='0') then y <= a;
else if (s1='0' and s2='1') then y <= b;
else if (s1='1' and s2='0') then y <= c;
else if (s1='1' and s2='1') then y <= d;
end if;
end process proc;
end max_multy;


3. Arsitektur berfungsi untuk mendefinisikan bagaimana entity "multipax" bekerja. Namun kode diatas belumlah sempurna, sehingga tidak bisa dijalankan untuk simulasi maupun di sintesis karena belum didefinisikan bit input pada masing-masing portnya. Untuk itu diperlukan penambahan entity "signal" dan arsitektur "signal_arc" yang berfungsi untuk memberikan input pada entity "multipax".
entity signal is
port(
pa,pb,pc,pd,ps1,ps2:out bit);
end signal;

architecture signal_arc of signall is
begin
pro: process is
begin
pa <= '0';
pb <= '1';
pc <='1';
pd <= '0';
ps1 <= '1';
ps2 <= '0';
end process pro;
end signal_arc;

4. Semua kode vhdl diatas digabung menjadi satu menjadi :
library ieee;
use ieee.std_logic_1164.all;

entity multipax is -- mendefinisikan entity "kepletex"
port(
a,b,c,d,s1,s2: in bit; -- terdapat 4 port input, 2 selector, dan 1 output
y:out bit);
end multipax;

architecture max_multy of multipax is
begin
proc: process is
begin
if (s1='0' and s2='0') then y <= a;
else if (s1='0' and s2='1') then y <= b;
else if (s1='1' and s2='0') then y <= c;
else if (s1='1' and s2='1') then y <= d;
end if;
end process proc;
end max_multy;

entity signal is
port(
pa,pb,pc,pd,ps1,ps2:out bit);
end signal;

architecture signal_arc of signal is
begin
pro: process is
begin
pa <= '0';
pb <= '1';
pc <='1';
pd <= '0';
ps1 <= '1';
ps2 <= '0';
end process pro;
end signal_arc;

-- kode dibawah ini merupakan kode yang berfungsi menjalankan entity yang telah didefinisikan diatas

library work;
use work.all;

entity eksekusi is
end eksekusi;

architecture eksekusi_arch of eksekusi is
signal in1,in2,in3,in4,select1,select2,output: bit;
begin
w1: entity signal port map(in1,in2,in3,in4,select1,select2);
w2: entity multipax port map(in1,in2,in3,in4,select1,select2,output);
end eksekusi_arch;

Kamis, 30 September 2010

TUGAS 1 B.INDONESIA

A.fiqa Dzulfiqar
22108346
3kb04
KATA BAKU DAN TIDAK BAKU

1. apotek - apotik
2. atlet - atlit
3. bus -bis
4. cenderamata - cinderamata
5. konkret - konkrit-kongkrit
6. sistem - sistim
7. telepon - tilpon-telpon
8. pertanggungjawaban - pertanggung jawaban
9. utang - hutang
10. pelanggan - langganan
11. kaidah - kaedah
12. dipersilakan - dipersilahkan
13. anggota - anggauta
14. pihak - fihak
15. disahkan - disyahkan
16. lesung pipi - lesung pipit
17. mengubah - merubah
18. mengesampingkan- mengenyampingkan
19. kualitas - kwalitas
20. universitas - university
21. teater - theatre
22. struktur - structure
23. monarki - monarkhi
24. devaluasi - defaluasi
25. abstrak - abstrac
26. akomodasi - akomodir
27. legalisiasi - legalisir
28. diagnosis -diadnosa
29. hipotesis -hipotesa
30. kultur - culture
31. deputi - deputy
32. sekuritas - Security
33. aktivitas - aktifitas
34. relatif - relative
35. repertoar - repertoire
36. teknologi - tekhnologi; technologi
37. elektronik - electronik
38. direktur - director
39. konduite - kondite
40. akuarium - aquarium
41. kongres - konggres
42. hierarki - hirarkhi
43. aksi - action
44. psikiatri-psychiatry
45. grup - group
46. rute - route
47. institut - institute
48. aki - accu
49. taksi - taxi
50. sekadar - sekedar
51. memesona - mempesona
52. imbau - himbau
53. berpikir - berfikir
54. nasihat - nasehat
55. terempas - terhempas
56. pukul 19.30 WIB - jam 19.30 WIB
57. standardisasi - standarisasi
58. objek - obyek
59. sportivitas - sportifitas
60. sportif - sportip
61. aktivitas - aktifitas
62. aktif - aktip
63. pengkreditan - pengreditan
64. mengkreditkan - mengreditkan
65. antarnegara - antar negara
66. pascapanen - pasca panen
67. dasawisma - dasa wisma
68. pancaroba - panca roba
69. aktif – aktip
70. aktivitas – aktifitas
71. apotek – apotik
72. atlet – atlit
73. andal – handal
74. analisis – analisa
75. diagnosis – diagnose
76. antre – antri
77. asas – azas
78. detail – detil
79. embus – hembus
80. ekstrem – ekstrim
81. Februari – Pebruari
82. frekuensi – frekwensi
83. fondasi – pondasi
84. hakikat – hakekat
85. hafal – hapal
86. ijazah – ijasah
87. izin – ijin
88. imbau – himbau
89. isap – hisap
90. jenazah – jenasah
91. justru – justeru
92. karier – karir
93. kategori – katagori
94. konferensi – konperensi
95. kualifikasi – kwalifikasi
96. kualitatif – kwalitatif
97. kuantitatif – kwantitatif
98. masjid – mesjid
99. merek – merk
100. meterai – meterei
101. miliar – milyar